微调设备锁定线程

This commit is contained in:
13038267101 2023-11-23 10:25:10 +08:00
parent d0cf834b95
commit 6b53aed32e
2 changed files with 3 additions and 3 deletions

View File

@ -258,7 +258,6 @@ hg_scanner::~hg_scanner()
if (thread_devslock_handle_.get() && thread_devslock_handle_->joinable()) if (thread_devslock_handle_.get() && thread_devslock_handle_->joinable())
thread_devslock_handle_->join(); thread_devslock_handle_->join();
#endif #endif
hg_version_free_handle();
delete custom_gamma_val_; delete custom_gamma_val_;
if(ImagePrc_pHandle_) if(ImagePrc_pHandle_)
hg_imgproc::release(ImagePrc_pHandle_); hg_imgproc::release(ImagePrc_pHandle_);
@ -345,14 +344,15 @@ void hg_scanner::thread_devslock_handle(void)
while (run_) while (run_)
{ {
wait_devsislock_.wait(); wait_devsislock_.wait();
hg_version_init_handle();
#ifdef OEM_HUAGAO #ifdef OEM_HUAGAO
if (is_firstopen_ && firmware_sup_devs_lock_) if (is_firstopen_ && firmware_sup_devs_lock_)
{ {
std::this_thread::sleep_for(std::chrono::milliseconds(500)); std::this_thread::sleep_for(std::chrono::milliseconds(500));
ret = set_server_blacklist_lock(); ret = set_server_blacklist_lock();
hg_version_free_handle();
} }
#endif #endif
hg_version_free_handle();
is_firstopen_ = false; is_firstopen_ = false;
break; break;
} }

View File

@ -177,7 +177,7 @@ hg_scanner_239::hg_scanner_239(const char* dev_name, int pid,usb_io* io) : hg_sc
else else
init_settings((jsontext5 + jsontext6 + jsontext7).c_str()); init_settings((jsontext5 + jsontext6 + jsontext7).c_str());
} }
hg_version_init_handle();
string str; string str;
get_devs_time(str); get_devs_time(str);
#ifdef OEM_HUAGAO #ifdef OEM_HUAGAO